Solved: Design a Mealy sequential circuit which investigates an input sequence X and

Chapter 16, Problem 16.13

(choose chapter or problem)

Design a Mealy sequential circuit which investigates an input sequence X and which will produce an output of Z = 1 for any input sequence ending in 1010, provided that the sequence 001 has occurred at least once.

Example:

X = 1 0 1 0 0 1 0 1 0 1 0

Z = 0 0 0 0 0 0 0 0 1 0 1

Notice that the circuit does not reset to the start state when an output of Z = 1 occurs. However, your circuit should have a start state and should be provided with a method of manually resetting the flip-flops to the start state. A minimum solution requires six states. Design your circuit using NAND gates, NOR gates, and three D flip-flops. Any solution which is minimal for your state assignment and uses nine or fewer gates and inverters is acceptable. (Assign 000 to the start state.)

Test Procedure: First, check out your state table by starting in each state and making sure that the present output and the next state are correct for each input. Then, starting in the proper initial state, determine the output sequence for the following input sequences:

(1) X = 1 0 0 1 0 0 1 1 0 1 0 1

(2) X = 1 0 1 0 0 0 1 0 1 0 1 0

Unfortunately, we don't have that question answered yet. But you can get it answered in just 5 hours by Logging in or Becoming a subscriber.

Becoming a subscriber
Or look for another answer

×

Login

Login or Sign up for access to all of our study tools and educational content!

Forgot password?
Register Now

×

Register

Sign up for access to all content on our site!

Or login if you already have an account

×

Reset password

If you have an active account we’ll send you an e-mail for password recovery

Or login if you have your password back