The diagram shows an 8-bit-wide data bus that transfers

Chapter 10, Problem 10.16

(choose chapter or problem)

The diagram shows an 8-bit-wide data bus that transfers data between a microprocessor and memory. Data on this bus is determined by the control signals mRead and mWrite. When mRead = ‘1’, the data on the memory’s internal bus ‘membus’ is output to the data bus. When mWrite = ‘1’, the data on the processor’s internal bus ‘probus’ is output to the data bus. When both control signals are ‘0’, the data bus must be in a high-impedance state.

                   

(a) Write VHDL statements to represent the data bus.

(b) Normally mRead = mWrite = ‘1’ does not occur. But if it occurs, what value will the data bus take?

Unfortunately, we don't have that question answered yet. But you can get it answered in just 5 hours by Logging in or Becoming a subscriber.

Becoming a subscriber
Or look for another answer

×

Login

Login or Sign up for access to all of our study tools and educational content!

Forgot password?
Register Now

×

Register

Sign up for access to all content on our site!

Or login if you already have an account

×

Reset password

If you have an active account we’ll send you an e-mail for password recovery

Or login if you have your password back