Write a VHDL module for a 4-bit comparator. The comparator

Chapter 17, Problem 17.14

(choose chapter or problem)

Write a VHDL module for a 4-bit comparator. The comparator has two inputs, A and B, which are 4-bit std_logic vectors; and three std_logic outputs, AGB, ALB, and AEB. AGB = '1' if A is greater than B, ALB = '1' if A is less than B, ALB = '1' if A and B are equal.

Unfortunately, we don't have that question answered yet. But you can get it answered in just 5 hours by Logging in or Becoming a subscriber.

Becoming a subscriber
Or look for another answer

×

Login

Login or Sign up for access to all of our study tools and educational content!

Forgot password?
Register Now

×

Register

Sign up for access to all content on our site!

Or login if you already have an account

×

Reset password

If you have an active account we’ll send you an e-mail for password recovery

Or login if you have your password back